Questions tagged [hardware-design]

15 questions
4
votes
1 answer

Cache request in Forth CPU

In the RAM of the computer a longer program is stored, written in the Forth programming language. It contains of three subfunctions and takes too many bytes for storing them in the first-level-cache of the CPU. A caching strategy is needed which…
Manuel Rodriguez
  • 734
  • 4
  • 18
2
votes
1 answer

Verilog: wait for module logic evaluation in an always block

I want to use the output of another module inside an always block. Currently the only way to make this code work is by adding #1 after the pi_in assignment so that enough time has passed to allow Pi to finish. Relevant part from module pLayer.v: Pi…
cie
  • 105
  • 2
  • 10
1
vote
1 answer

Multiplexer in vhdl with structural design

I am totally new to VHDL and I want to implement the following MUX for a logical implication S0 => S1 without using other gates. I want to use structural design, but one of my main problems is that I don't understand how to map the ports correctly…
Landau
  • 121
  • 7
1
vote
0 answers

How to implement a schematic in vhdl code and converting the datatypes from std_logic to bit

I tried to implement an adder which is way faster then the average RCA. Therefore I used the XILINX library and found one easy adder called adsu8. I want to embed it into my recent VHDL code. but therefore I have to stick to the data type BIT and…
Tobias Junge
  • 105
  • 1
  • 8
1
vote
2 answers

Unknown Wrong result when simulating Verilog design in modelsim

Design: //structural description of 74151 module s_74151(VCC, GND, D0, D1, D2, D3, D4, D5, D6, D7, A, B, C, STROBE, Y, W); input D0, D1, D2, D3, D4, D5, D6, D7; //data inputs input STROBE; //enable input A, B, C; //Data select output Y, W;…
mj1261829
  • 1,200
  • 3
  • 26
  • 53
1
vote
2 answers

Using Generate in Vhdl

I have following piece of code in Vhdl now I want to redirect this signal S1 conditionally to the output port, I will be gratful if someone can guide me through this. Gen: for index in 0 to 4 generate signal s1 : ARRAY_TYPE; --- array of (0 to 7)…
user1107855
  • 245
  • 2
  • 4
  • 12
1
vote
1 answer

Hardware design a 3 binary numbers adder

I want to design a binary full adder to add 3 binary numbers , a typical cell of this adder would look like this Can someone explain why we have 2 carries to the next bit ? regards
1
vote
1 answer

Can I use openCV libraries with Catapult C?

My final aim is a face/object detection and general image processing application on a Altera DE2 FPGA. I am using Catapult C to program the FPGA (so I am using C code, not Verilog or VHDL). My question is if Catapult C supports openCV libraries,…
1
vote
1 answer

How to manage uninitialized input signals

I would like to know your opinion on how to test and manage input signals of a component that are 'U', 'X', '-', ... For example, if I want the output to be 'X' for all non-valid inputs, where at least one bit of the input isn't '0' or '1': entity…
Mat
  • 13
  • 2
0
votes
0 answers

Truncated ternary multipliers ulp error calculation

the following paper provides some insides about truncated ternary multipliers: https://ietresearch.onlinelibrary.wiley.com/doi/10.1049/iet-cdt.2013.0133 , I understand the concept explained here in general but there is one detail related to the…
0
votes
1 answer

stm32wb, nucleo-wb55, sensor, hardware

I am using nucleo-wb55 development board with STM32WB55 processor. I want to connect sensors like IIS3DWB, STTS22H to this board. How can I go about connecting the modules of these sensors to nucleo-wb55?
AliEkber
  • 1
  • 1
0
votes
1 answer

Why are inner components not being executed

So, i've created a hierarchical desing of components in VHDL. The top level entity for now is the following. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --This component takes 2 numbers written in scientific notation and…
user3013172
  • 1,637
  • 3
  • 15
  • 26
0
votes
0 answers

Can two chips take turns accessing two memory banks?

Suppose you have two chips - for concreteness, say a CPU and a video chip - both of which want to access memory at the maximum bandwidth of which the memory is capable. Say each video frame is X bytes, the CPU wants to write X bytes to generate the…
rwallace
  • 31,405
  • 40
  • 123
  • 242
0
votes
1 answer

Hardware for "A div B" with A and B fixed point

I need a way to compute how many times a fixed point number B is contained into a fixed point number A. Something like integer division but on non-integer operands. I need to design an hardware block for this operation. My first guess is to use…
KBowser
  • 31
  • 3
-1
votes
1 answer

Data hazards in hardware platforms

I have got a list of 2 types of hazards: 1a. EX/MEM.RegisterRd = ID/EX.RegisterRs 1b. EX/MEM.RegisterRd = ID/EX.RegisterRt 2a. MEM/WB.RegisterRd = ID/EX.RegisterRs 2b. MEM/WB.RegisterRd = ID/EX.RegisterRt I am not able to understand the intuition…